W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,AB9RP-1,WIDE1,KA9BAB-7,WIDE2*,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7,WIDE2*,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7,WIDE2*,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KA9BAB-7*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7,WIDE2*,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7,W9LTA-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KA9BAB-7*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,AB9RP-1,WIDE1,KA9BAB-7,WIDE2*,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KA9BAB-7,W9LTA-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7,W9LTA-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,AB9RP-1,WIDE1,KA9BAB-7,WIDE2*,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,AB9RP-1,WIDE1,KA9BAB-7,WIDE2*,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7,W9LTA-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KD9VQI-9,WIDE1,W9LTA-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7,WIDE2*,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KA9BAB-7,W9LTA-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7,WIDE2*,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7,W9LTA-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KA9BAB-7,W9LTA-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7,WIDE2*,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KD9VQI-9,WIDE1,N9MEA-8,WIDE2*,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7,W9LTA-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,AB9RP-1,WIDE1,KA9BAB-7,WIDE2*,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7,W9LTA-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,AB9RP-1,WIDE1,KA9BAB-7,WIDE2*,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,AB9RP-1,WIDE1,W9LTA-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7,WIDE2*,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,AB9RP-1,WIDE1,KA9BAB-7,WIDE2*,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KA9BAB-7,W9LTA-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KA9BAB-7*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KA9BAB-7,W9LTA-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KA9BAB-7*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KA9BAB-7,WIDE2*,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7,W9LTA-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,AB9RP-1,WIDE1,KA9BAB-7,WIDE2*,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KA9BAB-7*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KD9VQI-9,WIDE1,W9LTA-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KA9BAB-7*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,AB9RP-1,WIDE1,W9LTA-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KD9VQI-10,WIDE1,N9MEA-8,WIDE2*,qAR,W9CQO-1:`s=!l -/`_)